Тестовое диагностирование цифровых узлов



Диагностирование цифровых узлов электронной техники.

Различают 3 типа:

  • статическое;
  • динамическое;
  • параметрическое.

Статическое диагностирование. Частота смены тестовых наборов на входе диагностируемого устройства и частота съема реакции значительно ниже чем при работе устройства в реальных условиях.

Динамическое диагностирование. Входные воздействия подаются и анализируются на рабочих частотах данного устройства.

Параметрическое диагностирование. Контролируются динамические и статические параметры (характеристики): уровни напряжения и тока, задержки, время установления.

Для проведения тестового диагностирования необходимо выполнить следующие операции: подготовить тестовые воздействия и эталонные реакции; перенести их на носители информации; сформировать и подать тестовые воздействия на объект диагностирования; снять и зарегистрировать выходные реакции и провести их оценку путем сравнения с эталонным.

Подача воздействий и съем реакций может осуществляться в различных точках.

По методам воздействия тестового сигнала и получения оценки реакции выделяют следующие типы тестирования:

  • тестирование с хранимой программой;
  • вероятностное тестирование;
  • компактное тестирование;
  • логический анализ;
  • сигнатурное тестирование;
  • синдромное тестирование;
  • кольцевое тестирование;
  • поэлементное тестирование;
  • внутрисхемная эмуляция.

Тестирование с хранимой программой осуществляется с помощью структурной схемы:

 

 
 

 


ГТ – генератор тестов;

ОД – объект диагностирования;

А – анализатор.

 

Генератор тестов содержит набор статических тестов, которые подготовлены заранее вручную или автоматически.

Анализатор работает по принципу сравнения выходной реакции с эталонной, также полученной заранее специальными тестами.

Метод подразделяется:

  • словарные;
  • зондовые.

Метод вероятностного тестирования.

 
 

 


В качестве генератора тестов (ГТ) используется генератор псевдослучайных воздействий.

Он основан на том, что всякое цифровое устройство, когда на его вход поступают случайные последовательности сигналов, выполняют вполне определенную жесткосвязанную с его структурой преобразование рапсределения вероятностей этих сигналов.

В соответствии указанного преобразования к эталонному может при определенных условиях служить свидетельство правильности функционирования. Чаще всего в качестве характеристики распределения вероятности используется мат. ожидание.

Достоинства:

  • упрощается предварительно синтез тестовой последовательности;
  • при небольших аппаратурных затратах обеспечивается динамический контроль, который увеличивает полноту определения неисправности.

Недостатки:

  • применим для комбинационных схем;
  • не дает гарантированных показателей достоверности.

Метод компактного тестирования.

Схема:

 

           
 
ГТ
 
ОД
 
А


       
   
 
 

 

 


Метод логического анализа используется для диагностирования аппаратуры и программного обеспечения. По сути, является аналогом осциллографических методов. В отличие от осциллографических методов заключается в фиксации только логических состояний, наличии памяти при анализе и многоканальность логического анализа.

По аналогии с диагностированием аналоговых электронных узлов по аннотированным сигналам в определенной точке схемы, цифровых узлах используется аннотирование потоков логических данных в определенных точках схемы. При этом последовательность двоичных сигналов, наблюдаемые на выходных элементах объекта, за некоторые временные интервалы преобразуются в короткие ходы, которые называются сигнаторами.

Чаще всего используются 4-х разрядные 16-тиричные коды.

 

Пример.

Сама сигнатура физического смысла не имеет и служит лишь символом, представляющим набор логических 1 и 0, из которых состоит поток данных. Если изменяется хотя бы один бит в данном потоке, то изменяется и сигнатура. Диагностирование осуществляется путем последовательного сравнения сигнатур с их эталонными значениями.

Синдронное тестирование. Метод заключается в подсчете числа перключений (числа переходов). Количество изменений логических состояний на каком-либо выходе подсчитывается и сравнивается с числом, зафиксированным на этом же выходе для исправного узла. Для получения статистически достоверной информации необходимо большое число переходов, осуществляемых при диагностировании.

Кольцевое тестирование.

 
 

 


В этом методе совмещены функции генератора псевдослучайных воздействий и анализатора. В процессе проверки система проходит свои состояния по циклическому маршруту. Заключение об исправности достигается на основании сравнения начального и конечного состояния.

Метод поэлементного диагностирования. Заключается в проведении последовательности проверок каждого компонента или фрагмента схемы печатных узлов с учетом обеспечения условий обеспечения исключения взаимного влияния компонентов схемы, и не повреждающего параметра, тестовых воздействий. Используется для диагностирования цифровых и аналоговых компонентов и позволяет обнаружить большинство перечисленных дефектов.

Внутрисхемная эмуляция. Возник как метод проектирования МП систем. Предполагают эмуляцию (подмену, замену) некоторого выбранного узла (элемента) МП системы однотипным узлом (элементом), находящимся в составе внутрисхемного эмулятора. При этом создается единая система, включающая:

 

 


 

Разьем МП

 

 

Вилка ВСЭ (внутрисхемный эмулятор)

 

При использовании ВСЭ обеспечивается полная замена МП или другой части схемы, полная замена МП или другой части схемы, например: ОЗУ, ПЗУ, вплоть до замены блока синхронизации или задающего генератора.

Внутрисхемный эмулятор. Внутрисхемный эмулятор имеет вид:

 


МПУ

 

 

Основа: МП, интерфейс – для связи с внешней машиной, память, буфер связи с МП устройством, эмуляция которого выполняется.

МП – может быть выполнен с использованием МП того же типа или его эмуляционного аналога. Этот же МП может быть выполнен на основе микросхем малой и средней интеграции (требуется до 300 корпусов микросхем). Когда МП выполняется на основе микропрограммируемых БИС или ПЛМ (программируемые логические матрицы). В этом случае число корпусов уменьшается до 300.

Блок памяти может занять как ОЗУ так и ПЗУ эмулируемого устройства, а также содержит программное обеспечение включающее служебные программы и тестовые программы. Эта же память может быть дополнена памятью трассировки (памятью соседа).

Трассировка – прослеживание состояний шины А, ШД, шины управления до точки постановки.

Блок управления – в частности используется для картирования (составления карты) памяти.

Картирование – процедура распределения адресного пространства, между памятью МП устройства. А также определение отсутствующей задаваемой конфигурации памяти не смотря на то, что физически она может присутствовать.

 

 

Буфер связи с микропроцессорным устройством – предназначен для элементов развязки МП устройства с внутренней магнетралью магистралью внутрисхемного эмулятора.

Техническое решение может быть таким:

 

 

С помощью эмулятора осуществляется последовательное обращение ко всем функциональным узлам и блокам МП устройства, включая устройство ввода/вывода. При этом целесообразна определенная последовательность проверки МПУ: магистральные шины, блок синхронизации (тактовый генератор), память, микропроцессор, устройство ввода/ вывода: например, для проверки связи МПУ с внешними устройствами формируются команды, адресованные соответствующим портам ввода/ вывода, и контролируются сигналы выходных каналов с помощью измерительных приборов.

Логические анализаторы выполняют функцию многоканального регистратора. Структурная схема обобщенного логического анализатора имеет вид:

 

 

Вывод информации отображения осуществляется в 3-х режимах:

  • режим многоканального осциллографа

  • режим таблицы состояния

· режим в виде карты состояния (информация в виде графа):

 

Преимущества: основное преимущество- блок памяти, который находится внутри, позволяет зарегистрировать информацию в 3-х основных режимах.

Позволяет зафиксировать до и после момента «стоп» определенное число шагов, так и на сигнале «стоп». При этом возможна также регистрация информации с определенной задержкой, задаваемой оператором относительно сигнала «стоп». При этом возможна также регистрация информации с определенной задержкой задаваемой оператором относительно сигнала «стоп». Последние типы логических анализаторов снабжены программным обеспечением, включающим дисассемблеры (переводчики машинных кодов в логические «1» и «0»).

Выпускаемые анализаторы имеют 8…96 входных каналов, обеспечивают как синхронный, так и асинхронный режим работы, обеспечивают частоту регистрации сигналов от 20…200 Мгц и обеспечивают глубину регистрации информации от 64 бит до 2400 бит на каналах.

Сигнатурный анализатор. Основу сигнатурного анализатора составляет регистр сдвига.

Структурную схему анализатора можно представить следующим образом:

 

Регистр сдвига формирует циклические коды с помощью обратных связей, которые логически суммируются по модулю 2 с входной последовательностью, и поступает на 1-й триггер регистра с выхода схемы суммирования по модулю 2. Математической основой формирования сигнатур служат циклические коды. Все строки, образующие матрицы такого кода, могут быть получены циклическим сдвигом одной кодовой комбинации, называется образующей для данного кода. Коды, удовлетворяющие этому условию, получили название циклические. Сдвиг осуществляется справа налево, при чем крайний левый символ каждый раз переносится в конец комбинации.

Пример. 001011

Составляем матрицу: сдвиг влево на один разрез по кругу.

При описании циклических кодов и разрядные кодовые операции, представляются в виде многочленов фиктивной переменной х, показатели степени соответственно номера разрядов, начиная с 0, а коэффициент измерения х в общем случае являются элементы поля G, при этом наименьшему разряду соответствует переменная:

Пример.

Комбинация 001011

Можно записать в виде полиномов:

.

Умножим полином на х, получим вторую строку нашей матрицы. Цифровые коды используются как для формирования псевдослучайных последовательностей, так и для формирования сигнатур.

Пример построения генератора псевдослучайной последовательности:

3-х разрядный регистр сдвига.

 

001011100101110…….

№ такта Вход Т1 Т1 Т2 Т3
Выходы
. . .        

 

Обратные связи не произвольные, а устанавливаются согласно специальным таблицам – таблицы формирования М последовательностей (последовательности максимальной длины).

Формирование псевдослучайных М последовательностей осуществляется с помощью регистра сдвига, имеющего обратные связи, проходящие через по модулю 2 (схема исключающего или).

Для формирования разрядной 16 – ричной сигнатуры из множества возможных выбран полином вида:

Степени полинома соответствует разрядам обратной связи регистра сдвига. При формировании сигнатуры входная последовательность, которую можно представить в виде полинома делителя на полином P(x).Вероятность ошибки при сигнатурном анализе определяется соотношением:

,

где

n – длина входной последовательности;

m – число разрядов регистра сдвига.

- вероятность обнаружения ошибки.

Пример формирователя 4-х разрядной сигнатуры.

Т

 

101110010110001001001…

Цикл
. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

 

Для правильной интерпретации сигнатуры необходимо правильно сформировать соответствующий временной интервал – сигнатурное окно.

 

 

Семестр

Реализуется команда, позволяющая последовательно перебрать все состояния адресного счетчика.

На рисунках в качестве такой команды используется команда NOP (нет операции).

Сигнатурный анализ подключается к определенным точкам тестирования схемы, при этом «пуск» и «стоп» сигнатурного формируется по фронту адреса А15. В результате формируется максимальное сигнатурное окно, включающее перебор всех адресов МП системы. Полученными сигнатурами можно диагностировать как МП так и ПЗУ. Данный подход не позволяет тестировать АЗУ.

Токовые зонды и использование для обнаружения отказов в цифровых устройствах.

Особую сложность при диагностировании цифровых устройств представляют узлы с шинной организацией. При этом, определив конкретную БИС, являющуюся причиной неисправности обычными средствами довольно сложно, т.к. при шинной организации выходы нескольких БИС объединяются в одну точку. Эффективным методом поиска отказов является токовое зондирование.

Токовое зондирование базируется (ТЗ) базируется на бесконтактных методах измерения импульсных токов с помощью датчиков, работающих по принципу токового трансформатора.

 

ТЗ позволяет выявить следующие отказы элементов:

· замыкание входа элемента на землю;

· замыкание выхода элемента на землю;

· замыкание дорожки печатного проводника на землю;

· замыкание печатных проводников между собой.

Рассмотрим следующий пример:

 

 

Используется диагностирование в статическом и динамическом режиме.

ТЗ в динамическом режиме осуществляется в процессе выполнения программы.

 

Принципы самоконтроля МП устройств. Тестирование ОЗУ и ПЗУ.

При тестировании ОЗУ необходимо определить ошибки непосредственно от м/х памяти и дешифратора адреса. При проверке дешифратора адреса необходимо учитывать следующие возможности неисправности:

  1. Отсутствие выборки определяется элементом памяти.
  2. Многоадресная выборка или неоднозначность выборки.

Для контроля ОЗУ используем следующие тесты:

    • бегущая единица или бегущий нуль;
    • для одновременного контроля столбцов и строк используется запись и считывание единицы в диагональ матрицы памяти;
    • для контроля соседних «залипаний» адресов.

 

Для контроля «залипаний» используется «шахматная доска».

Тест с перебором дополнений служит для выявления неисправных внутренних дешифраторов адреса интегратора схем ОЗУ.

Начинается тест с записи в память чередующихся столбцов 0 и 1. Считывается содержание первой ячейки памяти. Затем считывается содержимое ячейки с определенным адресом.

Далее аналогично для второй ячейки и т. д. Затем содержимое памяти инвертируется и вся процедура повторяется.

Последний тест позволяет контролировать динамические характеристики ОЗУ.

Тестирование ПЗУ.

Используют 2 метода:

  • метод контрольных сумм;
  • метод циклических избыточных кодов.

Метод контрольных сумм. Содержимое ПЗУ или его отдельных частей суммируются. При этом формируется контрольная сумма, которая заносится в одну из ячеек ПЗУ.

При диагностировании осуществляется процесс суммирования заданного числа ячеек и полученная сумма сравнивается с контрольной.

Отличие полученной суммы от контрольной является признаком дефекта.

Метод циклических избыточных ходов. Все содержимое ПЗУ выводится из неё последовательно слово за словом.

Таким образом, образуется одна большая цепочка битов.

Эта последовательность вводится со сдвигом в регистр с обратными связями.

Таким образом, реализуется процедура аналогичная сигнатурному анализу.

Наличие ошибки в кодовой комбинации приводит к изменению сигнатуры.

Аппаратурное и алгебраическое функциональное диагностирование (цифровых узлов). При построении аппаратных средств функционального диагностирования в составе узла или устройства вводится избыточная аппаратура, которая функционирует одновременно с основной.

Сигналы, возникают в процессе функционирования основной и контрольной аппаратуры сопоставляются по определенным правилам.

В качестве избыточной аппаратуры в простейшем случае используется копия диагностируемого узла (структурная избыточность). Для диагностирования процесса функционирования основного и контрольного узла применяются методы сопоставления:

  • внутренних состояний (дублирование и мажоритарное сравнение);
  • вход и выход кодовых комбинаций (контроль по запрещенным кодовым комбинациям и методы избыточного кодирования).

Алгебраические методы функционирования ЭВМ.

1. Контроль методом двойного или многократного счета. Состоит в том, что решение всей задачи в целом или отдельных её частей выполняется 2 и более раз.

При этом используется либо простое сравнение результатов, либо мажолирование.

Реализуется с использованием различных областей памяти, с использованием программ на различных языках, или с использованием 2-х процессов.

2.Экстраполяционная проверка. Отключается с простой и высокой эффективностью. Заключается в экстраполяции результатов по предыдущим значениям и сравнение экстраполируемого результата с реально полученным. Для экстраполяции используеться полином Лагранжа, Лежандра, Ньютона.

  1. Контроль по методу усеченного алгоритма. Реализуется либо решением меньшей степени, либо усечением разрядной сетки.
  2. Метод подстановки. Используется при решении систем уравнений. Он позволяет исключить систематические и случайные ошибки.
  3. Метод предельных значений (заключений) или метод Вила.
  4. Метод дополнительных связей или соотношений:

- пример, использования функций корреляции. Используются еще балансовые соотношения (корреляция, тригонометрия и др.).

  1. Метод обратного счета. Заключается в том, что по полученному результату находят исходные данные и сравнивают с первоначально заданными.
  2. Метод контрольного суммирования (см. контроль ПЗУ).
  3. Метод счета записи. Заключается в счете суммирования числа обращения заполняющего устройства (записи информации).
  4. Метод проверки запрещенной комбинации. Осуществляется в сравнении части обработанной информации с таблицей допустимых значений.
  5. Метод контроля по времени решения задачи и периодичности выдаваемых результатов. Этот метод используется при выполнении циклических программ с известным временем цикла.

ПАМ – программируемая логическая матрица.

  1. Метод следящего самоконтроля. На примере микроЭВМ. Метод базируется на основе предварительного прогнозирования вариантов поведения «машины».

 

Любую программу, записанную в машинных кодах в память микроЭВМ, можно представить в виде конечного числа линейных участков алгоритма, связанных между собой командами переходов.

При отсутствии внешних прерываний поведение микроЭВМ на каждом линейном участке алгоритма строго детерминировано, в том числе, что на управляющих линиях будет формироваться строго определенная временная диаграмма, которую можно определеить до начала прогона самой программы.

В простейшем случае подсчитываем ожидаемое число импульсов на каждой управляющей линии, при выполнении команды данного линейного участка.

Контроль МП устройств (схема установки по адресу).

Г – вход «готовность МП». Предназначен для согласования по быстродействию с внешними устройствами.

RG – дополнительный регистр.

= - компаратор кодов.

Оператор вводит в RG код адреса, осуществляет запуск МП в режим работы.

При достижении заданного адреса срабатывает компаратор кодов, который снимает «готовность» соответствующего входа МП.

МП переходит в режим ожидания. С помощью имеющихся аппаратурных средств может осуществляться диагностирование такой структуры в заданной точке адресного пространства.

Обнаружение ошибок 2-х последовательных записей в одну ячейку. Для контроля используют 1-битовую ОЗУ. В исходном состоянии вспомогательная ОЗУ обнуляется. При обращении к 1-ой ячейке основ. ОЗУ задним фронтом сигнала записи осуществляет запись «1» в первую ячейку вспомогательной ОЗУ.

ОЗУ.

 

В исходном состоянии вспомогательная ОЗУ обнуляется при обращении к первой ячейке основной ОЗУ. Задним фронтом сигнала записи осуществляется запись «1» в первую ячейку вспомогательной ОЗУ. При обращении ко второй ячейке ОЗУ задним фронтом записи осуществляется запись во вторую ячейку вспомогательного ОЗУ. Вспомогательная ОЗУ выполняет функцию сдвигового регистра. При обращении к одному и тому же адресу схем «и» по сигналу с записи фиксирует ошибку как наличие «1» на втором входе схемы «и» по предыдущему адресу.

Оперативный контроль магистрали микроЭВМ.

 

тест

В свободные промежутки интервала времени на соответствующих шинах ША и ШД с помощью приведенной схемы подаются тестовые сигналы чередующихся «1» и «0».

Отличие выходных сигналов от входных тестовых свидетельствует о наличии дефекта на линиях ША и ШД.

Использование корректирующих кодов. Применение корректирующих кодов позволяет обнаруживать ошибки устройства записи информации, интерфейса линии связи.

Корректирующие коды бывают:

  • С обнаружением ошибки;
  • С обнаружением и исправлением ошибки.

Наиболее распространенным кодом является код с контролем по четности.

10110 - разбивается на части.

 
 


Информа- контрольный

Ционный

В качестве информационного кода используется один дополнительный бит.

Запись и контроль осуществляется путем суммирования по модулю 2.

Количество единиц:

001110 011 0+1+1=3 код с контролем числового дополнения.

 

В контрольной части переводится двоичный код числа информационной части.

Код с постоянным!!!!!!!!!!!!!!!!!!!!!!!!!

11100 - число «1» - постоянное

Кодирование с инверсным дополнением.

11100 00111 в контрольной части передается инверсная информация.

Этот код можно использовать для коррекции постоянных ошибок в ОЗУ.

Пример. Ситуация: ячейка ОЗУ вышла из строк (запись, чтение ОЗУ с коррекцией).

Зап Чт. Разряды +2
                 
Зап. 1                  
Чт. 1                  
                 
Зап. 2                  
Чт. 2                  
                 

Контроль

по четности

 

Инвертируем входные данные, осуществляем их запись, повторно перечитываем (5 разряд выдает ошибку) – коды с исправлением ошибки.

Наиболее распространенные коды Хэмминга и циклические коды.

 

Код Хэмминга. Всё число смысловой разбивается на 2 группы:

m – число информации символов;

k – число контроля символов.

 

Применение кодов с исправлением ошибок.

Контрольные символы расположены на определенных местах информационного слова, позволяют не только обнаружить ошибку, но и исправить её.

Рассмотрим пример с семиразрядного информационного слова.

Предположим, что все символы информационного слова:

Из них: 4 – информация;

3 – контроль.

 

Принцип формирования контрольных символов заключается в следующем:

(младший разряд)

(*)

(старший разряд)

Если q – контрольный символ, а – информационный символ.

При приеме контроля операция заключается в суммировании по модулю 2 согласно приведенным выражениям (*).

Осуществляется три проверки.

Если при суммировании по модулю 2 получаем нули, то это значит, что информация перевала без ошибок.

Если вместо нуля получаем 1, то полученный код соответствует разряду неправильно принятого символа (в примере - 6).

Циклические коды. В циклических кодах контрольные разряды формируются путем умножения информационного полинома на порождающий полином. На приемной стороне выполняется деление на порождающий полином, и анализируется достаток от деления.

Если ОС=0, то значит, запись и чтение осуществляется без ошибок.

Для умножения и деления используются регистры сдвига с обратными связями (см. тему «Сигнатурный анализатор»).

Практические советы диагностирования цифровых узлов и модулей.

  1. Проверка разъемов и соединения кабелей.
  2. Проверка установки плат.
  3. Проверка питания, проверка уровня и пульсаций питания.
  4. Проверка питания на платах.
  5. Проверка синхронизации (задающего генератора), проверка синхронизации памяти и внешних периферийных устройств.
  6. Проверка линий сброса, в частности проверка сброса при включенном питании.
  7. Проверка линии готовности.
  8. Проверка линии остановок.
  9. Проверка прерываний.
  10. Использование тестовых программ использований, анализатора, сигнатурный и логический анализ, свободного прогона микропроцессора.

Диагностирование аналоговых узлов.

Включает диагностирование активных и пассивных элементов схем.

Рассматривают основные диагностирующие статические параметры для элементов схем.

 

Элемент Диагностирование параметров
Резистор Конденсатор Трансформатор и катушки самоиндукции Диод Стабилитрон Реле (Эл. ключи) Транзистор   Операционный усилитель Сопротивление проводимости Емкость, емкостное сопротивление, сопротивление утечки Индуктивность, индуктивное сопротивление, сопротивление обмотки, коэффициент трансформации взаимной проводимости   Прямо падение напряжения, обратный ток (см. диод), напряжение стабилизации Сопротивление обмотки, сопротивление замкнутых и разомкнутых контактов Падение напряжения на эмиторе и коллекторе коэффициента усиления по току Коэффициент усиления входного и выходного сопротивления

 

Диагностирование резисторов. Контроль параметров сопротивления и проводимости.

Контроль можно осуществлять в режиме заданного тока и напряжения. Контроль сопротивления, или контроль элемента, находящегося в схеме, представляет собой определенные трудности.

Контролируемая проводимость в схеме шунтируется резистивными проводимостями, и влияние которых можно представить в виде четырехполюсника.

Для устранения влияния паразитивных проводимостей используют специальные методы.

 

Контроль осуществляется выражение заданого . Подключение контролируемой проводимости к измерительной цепи осуществляется с помощью игольчатого контактного поля ().

Первый усилитель выполняет функции повторителя. Операционный усилитель выполняет функции преобразователя тока в напряжение.

Используется свойство называемое виртуальной общей точкой земли. Потенциал инвертирующего входа усилителя с отрицательной обратной связью равной потенциалу неинвертирующего входа. Потенциал точки Д равен потенциалу общей точки.

Потенциал точки В равен потенциалу точки С. Соответственно через проводимость ток не протекает, и весь измерительный ток протекает по входной проводимости.

Тем самым устраняется влияние паразитных проводимостей.

Аналогичная схема используется для измерения сопротивления, но используется режим заданного тока.

 

В этой схеме используется также свойство виртуальной общей точки операционного усилителя с обратной связью. Ток, протекающий через R, в результате равен току, протекающему через контролируемое , и потому .

Рассмотренные схемы включения предназначены для контроля параметров резисторов с общим R. Для контроля резисторов сложим R используются многопроводные схемы подключения резистора.

 

 

- повторитель;

- опорное сопротивление.

- ток, протекающий через .

Ток, протекающий через ОС= .

Ток, протекающий через создает падение напряжения, которое поступает на вход дифференциального усилителя.

При измерении малых значит, влияние оказывают паразитные проводников и переходное контактов. Для исключения этого влияния и используется приведенная схема проводного включения контролируемого резистора .

Контроль параметров диода. Контролируется:

1. Прямое падение напряжения на диоде (в режиме заданного тока).

Так как потенциал точки С равен потенциалу точки В, входной ток не протекает по паразитному .

2. Обратный ток. Осуществляется по следующей схеме:

В режиме заданного напряжения контролируется потенциал точки С равный потенциалу точки В.

Обратный ток через не протекает, а протекает через R, цепи обратной связи усилителя . При порядка В обратный ток большинства кремниевых диодов составляет несколько десятков наноампер.

Контроль параметров стабилитронов. Прямое поведение напряжения на стабилитроне и обратный ток стабилитрона контролируются также как и у диода.

3. Контроль напряжения стабилизации.

 

и - повторители.

Выход первого повторителя подключен к точке С схемы. При этом потенциал точки В и потенциал точки С – равны. Таким образом ток стабилизации не протекает по паразитному , а протекает через контролируемый стабилитрон.

Ток стабилизации определяется как при контроле стабилитрона. обычно превышает напряжение неповреждающего контроля, которое для большинства схем составляет .

Контроль параметров транзистора. Для транзисторов в открытом состоянии основным контролируемым параметром является падение напряжений на переходах эмиттер-база и коллектор-база. Для контроля используется режим заданного тока. При этом возможны различные схемы включения транзисторов.

Рассмотрим 3 основных схемы:

· с общим коллектором;

· с общей базой;

· с общим эмиттером.

 

 

;

где ,

- коэффициент усиления по току транзистора;

I – рабочий ток транзистора;

- начальный ток коллектора транзистора;

S – кривизна характеристики транзистора, зависит от схемы величины;

- температурный коэффициент;

К – постоянная Больцмана;

Т – абсолютная температура;

q – заряд электрона.

 

Прямое падение напряжения на переходах транзистора соответствует прямому падению напряжения на общем диоде.

Напряжение составляет для кремниевых транзисторов от 0,4 до 0,8 В.

Контроль обратных токов переходов транзистора осуществляется аналогичным образом как у диодов при соответствующих схемах включения транзистора (ОБ, ОК, ОЭ).

Чаще на переменном токе осуществляется контроль емкости и индуктивности при контроле параллельными соединениями индуктивности и резистора – проконтролировать параметры резистора на постоянном токе нельзя, сопротивление R – зашунтировано.!!!!!!!!!!!!

 

Контроль параметров емкости. Контроль параметров емкостей осуществляется в режиме заданного напряжения.

Выходное напряжение прямопропорционально контролируемой емкости .

Потенциал точки D равен потенциалу точки В.

При этом исключается влияние инвинтирующих паразитных емкостей.

Схема измерения параметров конденсаторов.

 

.

Схема построения по принципу преобразования напряжения в интервале времени. На базе ОУ и измеряемого конденсатора реализует интегратор. Он обладает свойством: при подаче на вход, то, например, изменяется по линейному закону на выходе интегратора.

В исходном состоянии ключ S разомкнут. Например, на выходе интегратора достигает значение , при этом срабатывает компаратор и опрокидывает триггер тем самым формирует на выходе Т интервал времени . Этим же сигналом замыкается ключ S. Временной интервал прямопорционален измеряемой емкости .

Контроль параллельного соединения емкости и резистора.

В качестве примера рассмотрим структуру, позволяющую измерять полное сопротивление и емкость, на базе которой выполнен анализатор фирмы «Marconi», TK-7151.

Принцип. Использование синхронного детектирования. Детектирование осуществляется с помощью ключей коммутируемых синфазно с опорным периодическим напряжением.

 

На выходе этой схемы включается двухканальный синхронный детектор.

;

;

;

.

На управляемые входы синхронного детектора (СД) подаются два напряжения сдвинутых на .

;

,

где - коэффициент преобразования,

- знак функции,

МУ1, МУ2 – масштабирующие усилители.

На выходе каждого из ФНЧ получим напряжения:

;

.

После подстановки значений и получим:

;

 

.

Вывод: на выходе ФНЧ1 имеем сигнал обратно – пропорциональный . На выходе ФНЧ2 имеем напряжение прямопорциональное измеряемой емкости .

 

Контроль параметров индуктивности. Контроль осуществляется в режиме заданного тока. В качестве примера рассмотрим последовательное соединение и .

 

ДУ – дифференциальный усилитель;

ДСД – двухканальный синхронный детектор (см. предыдущую тему).

 

На выходе ДУ напряжение определяется так:

.

На выходе каналов синхронного детектора получим напряжение:

;

.

Контроль параметров ОУ. В ОУ основные параметры, подлежащие контролю, являются:

· коэффициент усиления по напряжению с разомкнутой обратной связью;

· входное сопротивление;

· выходное сопротивление;

· входные токи;

· напряжение смещения нуля;

· динамические параметры (АЧХ, скорость, нарастание выходного напряжения, время установления).

Контроль статических параметров.

1. Коэффициент усиления современных ОУ лежит в пределах от 1000 100000.

Для измерения коэффициента усиления необходимо выполнить 3 условия:

· Частота сигнала f должна быть меньше частоты среза ;

· Усилитель должен быть снабжен схемой регулировки смещения нуля ();

· Измерительная схема должна быть питательно экринирована.

Напряжение на выходе равно:

.

2.

В данной схеме осуществляется автоматическая установка нуля с помощью цепочки, реализует глубокую обратную связь на инфранизких частотах.

На постоянном токе данный ОУ работает в режиме повторителя.

Частота входного сигнала f выбирается таким образом, чтобы цепочки Rc практически действовала как разомкнутая ОС.

3.

В этой схеме измеряется напряжение на выходе и напряжение в точке А.

 

.

К – коэффициент усиления без нагрузки.

.

Сопротивление нагрузки включает последовательность с выходного сопротивления ОУ, образуя делитель, уменьшающий коэффициент усиления ОУ.

Выходное сопротивление лежит в пределах от 1 до 100 Ом.

Контроль входного сопротивления ОУ.

 

Выполняется 2 измерения. Первое – при замкнутых и и фиксируется выходное напряжение. Второе – при разомкнутых и и фиксируется напряжение на выходе.

Сопротивление на входе лежит в пределах от 10кОм до 100 Мом.

Контроль входных токов.

Разомкн. ключ

 

Необходимо установить ноль на выходе усилителя.

Схема позволяет измерить значения токов , и их разность.

Если ключи и замкнуты, то Ус – повторитель.

Ток лежит в пределах от нескольких микроампер до нескольких миллиампер.

Контроль напряжения на смещении нуля.

Выходное напряжение пропорциональное усиленному напряжению смещения. Напряжения смещения лежит в пределах от нескольких десятков милливольт до нескольких десятков и сотен микровольт. Сем меньше напряжение смещения, тем лучше ОУ.

Временное и температурное изменения напряжения смещения называются дрейфом нуля.

Контроль динамических параметров. Осуществляется как при обычных четырехполюсниках.

Контроль статических и динамических параметров БИС АЦП и ЦАП.

Контролю подлежат:

1. общепринятые параметры

· ток потребления или мощность потребления устройства;

· выходные уровни сигналов;

· входные и выходные токи по информационным управляющим входам и выходам.

2. Контроль параметров, характерных только для АЦП и ЦАП.

· Коэффициент преобразования;

· Аддитивные и мультипликативные погрешности коэффициента преобразования;

· Интегральная нелинейность;

· Дифференциальная нелинейность;

· Время преобразования;

· Для АЦП – аппертурное время.

Контрольные операции при выпуске АЦП и ЦАП составляет до 70% от всех работ.

 

 


Дата добавления: 2015-12-21; просмотров: 15; Мы поможем в написании вашей работы!

Поделиться с друзьями:






Мы поможем в написании ваших работ!