Аббревиатуры цифровых сигналов, используемые в моделях последовательностных цифровых устройств программы Micro - CAP



… bar активный низкий уровень входа или выхода(o)
Borrow выход заема для счетчиков
Carry выход переноса для счетчиков
Clk вход тактовых импульсов
CS вход выборки кристалла (корпуса микросхемы)
DOWN вход счета на уменьшение
DS ( DR, DL) последовательные данные для сдвиговых регистров
Load параллельная загрузка
Mode режим работы
OE, outen output enable, разрешение работы выходов ИМС для трехстабильных схем
R, Reset, Clear вход сброса
R/ W выбор режима чтение/запись
Ser последовательный вход
Shift Сдвиг
Sh/ Ld сдвиг/параллельная загрузка
Strobe строб, разрешение
UP вход счета на приращение

2.10 Запоминающие устройства

3 СИНТЕЗ ЦИФРОВЫХ АВТОМАТОВ

3.1 Синтез асинхронных автоматов на RS-триггерах

3.1.1 Пример 1

На основе асинхронных RS-триггеров синтезировать JK-триггер (Master-slave), запоминающий состояние J и K входов при низком уровне синхроимпульса и меняющий состояние на выходе по положительному перепаду синхроимпульса (переход из низкого в высокое состояние).

Синтезируем устройство как асинхронный автомат, управляющими сигналами для которого являются уровень синхроимпульса (0 — , 1 — С) и значение информационных сигналов на J и К входах (для J-входа 0 — , 1 — J; для K-входа 0 — , 1 — K).

Составим граф переходов разрабатываемого автомата (рис. 3.1), используя словесный алгоритм описания его работы, данный в техническом задании. Вершины графа (устойчивые состояния автомата) будем кодировать противогоночно (с использованием кода Грея). Т.к. для формирования сигнала на выходе устройства необходим единичный уровень сигнала на синхровходе, а информация записывается при нулевом уровне сигнала C, вводятся промежуточные состояния на графе, переход в которые осуществляется отрицательным уровнем синхроимпульса . Таким образом, всего получается 4 устойчивых состояния, для кодирования которых необходимо 2 запоминающих элемента — RS-триггера (см. рис. 3.1).

Охватим замкнутой линией все состояния на графе переходов, в которых значения одной и той же переменной (состояние одного элемента памяти) равны 1. Эти замкнутые кривые показаны штрих-пунктирной и пунктирной линиями соответственно.

Поскольку вход в подобную замкнутую область и выход из нее требуют линий сигналов возбуждения, обозначаются соответствующие сигналы возбуждения. Стрелками, входящими в область, указывают возбуждение установки (присваивающее переменной единичное значение), а стрелками, выходящими из области, — возбуждение сброса (присваивающее ей нулевое значение).

Составляются два выражения в форме ДНФ (суммы произведений): одно для функции возбуждения установки, а другое для функции возбуждения сброса. Каждое произведение должно содержать входные переменные (сигналы по которым совершается переход) и вторичные переменные, связанные с данным переходом, но не меняющие свои значения. В качестве вторичных переменных выступают двоичные разряды кода состояния в коде Грея. Включение вторичных переменных гарантирует выполнение переходов в правильной последовательности.

                  ;

                          .

Строится схема на основе асинхронных RS-триггеров, реализующая полученные логические выражения. Для последующего моделирования с помощью программы Micro-CAP в качестве асинхронных RS-триггеров используются JK или D-триггера с асинхронными входами установки (PREBAR) и сброса (CLRBAR). При этом входы синхронизации и информационные входы не задействуются.

Схема для моделирования с обозначениями необходимых сигналов приведена на рис. 3.2, а, а на рис. 3.2, б приведены временные диаграммы работы автомата, доказывающие его работоспособность.

а

б

Рисунок 3.2 —  Двухтактный JK-триггер, меняющий состояние по положительному фронту: а — схема; б — временные диаграммы

3.1.2 Пример 2

На основе асинхронных RS-триггеров синтезировать JK-триггер, устанавливающийся в соответствии с управляющими сигналами на J и K входах по отрицательному перепаду синхроимпульса (переходу из высокого в низкое состояние).

Синтезируем устройство как асинхронный автомат, управляющими сигналами для которого являются уровень синхроимпульса (0 — , 1 — С) и значение информационных сигналов на J и К входах (для J-входа 0 — , 1 — J; для K-входа 0 — , 1 — K).

Составим граф переходов разрабатываемого автомата (рис. 3.3), используя словесный алгоритм описания его работы, данный в техническом задании. Вершины графа (устойчивые состояния автомата) будем кодировать противогоночно (с использованием кода Грея). Т.к. для формирования сигнала на выходе устройства необходимо выделение отрицательного фронта синхроимпульса, вводятся промежуточные состояния на графе, переход в которые осуществляется единичным уровнем синхроимпульса C. Таким образом, всего получается 4 устойчивых состояния, для кодирования которых необходимо 2 запоминающих элемента (RS-триггера).

Охватим замкнутой линией все состояния на графе переходов, в которых значения одной и той же переменной (состояние одного элемента памяти) равны 1. Эти замкнутые кривые показаны штрих-пунктирной и пунктирной линиями соответственно.

Поскольку вход в подобную замкнутую область и выход из нее требуют линий сигналов возбуждения, обозначаются соответствующие сигналы возбуждения. Стрелками, входящими в область, указывают возбуждение установки (присваивающее переменной единичное значение), а стрелками, выходящими из области, — возбуждение сброса (присваивающее ей нулевое значение).

Составляются два выражения в форме ДНФ (суммы произведений): одно для функции возбуждения установки, а другое для функции возбуждения сброса. Каждое произведение должно содержать входные переменные (сигналы по которым совершается переход) и вторичные переменные, связанные с данным переходом, но не меняющие свои значения. В качестве вторичных переменных выступают двоичные разряды кода состояния в коде Грея. Включение вторичных переменных гарантирует выполнение переходов в правильной последовательности.

             ;

             .

а

б

Рисунок 3.4 — JK-триггер, переключающийся по заднему фронту: а — схема для моделирования с помощью программы Micro-CAP; б — временные диаграммы

Строится схема на основе асинхронных RS-триггеров, реализующая полученные логические выражения. Для последующего моделирования с помощью программы Micro-CAP в качестве асинхронных RS-триггеров используются JK или D-триггера с асинхронными входами установки (PREBAR) и сброса (CLRBAR). При этом входы синхронизации и информационные входы не задействуются.

Схема для моделирования с обозначениями необходимых сигналов приведена на рис. 3.4, а, а на рис. 3.4, б приведены временные диаграммы работы автомата, доказывающие его работоспособность.

3.1.3 Пример 3 — Автомат Мили

Асинхронный автомат Мили, выделяющий второй полный импульс из последовательности UИ1, если приходит сигнал запуска US; и вторую полную паузу UП1, если приходит сигнал запуска UZ (см. рис. 3.5).

Автоматы Мура описываются функциями переходов и выходов:

,

т.е. каждое новое состояние обусловлено предшествующим состоянием и входным сигналом, а выход в каждый момент однозначно определяется состоянием автомата. Таким образом, выходы однозначно определяются состояниями автомата и поэтому могут быть указаны в вершинах графа.

Автоматы Мили отличаются тем, что выход зависит не только от состояния, но и от входного сигнала:

,    .

Для автомата Мили выходы указываются у концов дуг, т.к. они зависят как от входов, так и от состояний.

Составим граф переходов устройства, разрабатываемого как автомат Мили (см. определение выше). Вершины графа (устойчивые состояния автомата) будем кодировать противогоночно (с использованием кода Грея). Необходимое нам состояние, в котором формируется выходной сигнал (либо второй импульс, либо 2-ая пауза) является 5-м состоянием автомата. Таким образом, для кодирования номеров состояний необходимо 3 запоминающих элемента — RS-триггера. При этом останутся неиспользуемые состояния, переходы через которые можно кодировать произвольно исходя из имеющихся в наличие сигналов и возможности минимизации аппаратной реализации. Часто проходы через неиспользуемые состояния осуществляются по сигналу «1». Это означает, что сразу же осуществляется переход между последовательными состояниями. В конце необходимо предусмотреть возврат автомата в исходное состояние, который обычно осуществляется при окончании действия управляющих сигналов.

Отметим, что в рассматриваемом примере переход из состояний 111, 101 осуществляется по сигналам Y и X соответственно, что сделано для минимизации аппаратной реализации устройства (как будет показано ниже).

Охватим замкнутой линией все состояния на графе переходов, в которых значения одной и той же переменной (состояние одного элемента памяти) равны 1. Эти замкнутые кривые показаны сплошной (Q1=1), штрих-пунктирной (Q2=1) и пунктирной линиями (Q3=1) соответственно.

Поскольку вход в подобную замкнутую область и выход из нее требуют линий сигналов возбуждения, обозначаются соответствующие сигналы возбуждения. Стрелками, входящими в область, указывают возбуждение установки (присваивающее переменной единичное значение), а стрелками, выходящими из области, — возбуждение сброса (присваивающее ей нулевое значение).

Рисунок 3.6 — Граф переходов асинхронного автомата МИЛИ

Составляются два выражения в форме ДНФ (суммы произведений): одно для функции возбуждения установки, а другое для функции возбуждения сброса. Каждое произведение должно содержать входные переменные (сигналы по которым совершается переход) и вторичные переменные, связанные с данным переходом, но не меняющие свои значения. В качестве вторичных переменных выступают двоичные разряды кода состояния в коде Грея. Включение вторичных переменных гарантирует выполнение переходов в правильной последовательности.

   ;

                                        ;

                                        ;

                          .

Строится схема на основе асинхронных RS-триггеров, реализующая полученные логические выражения. Для последующего моделирования с помощью программы Micro-CAP в качестве асинхронных RS-триггеров используются JK или D-триггера с асинхронными входами установки (PREBAR) и сброса (CLRBAR). При этом входы синхронизации и информационные входы не задействуются.

В рассматриваемом примере автомат проходит через неиспользуемые состояния 101, 100 по сигналам Y и X соответственно, при этом частично минимизируются логические выражения. Можно через эти состояния пройти автоматически, без внешнего сигнала (т.е. по «1»), как сделано в слайдовом варианте лекций.

Схема для моделирования с обозначениями необходимых сигналов приведена на рис. 3.7, а, а на рис. 3.7, б приведены временные диаграммы работы автомата, доказывающие его работоспособность.

а

б

Рисунок 3.7 —  Асинхронный автомат Мили, выделяющий второй полный импульс при
приходе управляющего сигнала S, и вторую полную паузу — при приходе Z

3.1.4 Пример 4 — автомат Мура

Асинхронный автомат Мура, выделяющий первый полный импульс из последовательности UИ1, если приходит сигнал запуска US; и вторую полную паузу UП1, если приходит сигнал запуска UZ (см. рис. 3.5).

Автоматы Мура описываются функциями переходов и выходов:

,

т.е. каждое новое состояние обусловлено предшествующим состоянием и входным сигналом, а выход в каждый момент однозначно определяется состоянием автомата. Таким образом, выходы однозначно определяются состояниями автомата и поэтому могут быть указаны в вершинах графа.

Составим граф переходов устройства, разрабатываемого как автомат Мура (см. определение выше). Вершины графа (устойчивые состояния автомата) будем кодировать противогоночно (с использованием кода Грея). Исходное состояние автомата 000. Выход из него осуществляется либо по управляющему сигналу S и паузе в последовательности, либо по управляющему сигналу Z и импульсу в последовательности. Такая привязка осуществляется, т.к. в первом случае необходимо выделить 1-ый полный импульс, а во втором случае — 2-ую полную паузу. Указанные комбинации сигналов дают начало двум путям переходов автомата из состояния 000, показанным на рис. 3.8 стрелками. В конце необходимо предусмотреть возврат автомата в исходное состояние, который обычно осуществляется при окончании действия управляющих сигналов ( ).

Охватим замкнутой линией все состояния на графе переходов, в которых значения одной и той же переменной (состояние одного элемента памяти) равны 1. Эти замкнутые кривые показаны сплошной (Q1=1), штрих-пунктирной (Q2=1) и пунктирной линиями (Q3=1) соответственно.

Поскольку вход в подобную замкнутую область и выход из нее требуют линий сигналов возбуждения, обозначаются соответствующие сигналы возбуждения. Стрелками, входящими в область, указывают возбуждение установки (присваивающее переменной единичное значение), а стрелками, выходящими из области, — возбуждение сброса (присваивающее ей нулевое значение).

Рисунок 3.8 — Граф переходов асинхронного автомата МУРА

Составляются два выражения в форме ДНФ (суммы произведений): одно для функции возбуждения установки, а другое для функции возбуждения сброса. Каждое произведение должно содержать входные переменные (сигналы по которым совершается переход) и вторичные переменные, связанные с данным переходом, но не меняющие свои значения. В качестве вторичных переменных выступают двоичные разряды кода состояния в коде Грея. Включение вторичных переменных гарантирует выполнение переходов в правильной последовательности.

   ;

                                    ;

                                         ;

                          .

а

б

Рисунок 3.9 — Автомат Мура: а — схема для моделирования; б — временные диаграммы

Строится схема на основе асинхронных RS-триггеров, реализующая полученные логические выражения. Для последующего моделирования с помощью программы Micro-CAP в качестве асинхронных RS-триггеров используются JK или D-триггера с асинхронными входами установки (PREBAR) и сброса (CLRBAR). При этом входы синхронизации и информационные входы не задействуются.

Схема для моделирования с обозначениями необходимых сигналов приведена на рис. 3.9, а, а на рис. 3.9, б приведены временные диаграммы работы автомата, доказывающие его работоспособность.

3.2 Синтез асинхронных автоматов на мультиплексорах

3.2.1 Пример 1. Асинхронный автомат Мили

Устройство памяти, имеющее 2k устойчивых состояний реализуется на k мультиплексорах, каждый из которых имеет 2k информационных входов. Т.е. мультиплексоры должны иметь следующую организацию: 2k входных направлений в 1 выходное направление (2k в 1) [9, 10]. При построении автомата обратная связь с k выходов k мультиплексоров заводится на k селектирующих (адресных) входов всех k мультиплексоров. При этом на 2k информационных входов мультиплексоров по определенным правилам подаются управляющие работой автомата сигналы. Таким образом, автомат с памятью, имеющий 16 устойчивых состояний может быть реализован на основе 4-х мультиплексоров c организацией 16 в 1. Автомат с памятью, имеющий 8 устойчивых состояний может быть реализован на основе 3-х мультиплексоров c организацией 8 в 1.

Пример 1. Асинхронный автомат Мили, выделяющий 3-ий полный импульс из последовательности UИ3, если приходит сигнал запуска US; и третью полную паузу UП3, если приходит сигнал запуска UZ (см. рис. 3.5).

Методика синтеза асинхронных автоматов на основе мультиплексоров подробно рассмотрена в [7–9].

Составим граф переходов устройства, разрабатываемого как автомат Мили (см. определение выше). Вершины графа (устойчивые состояния автомата) будем кодировать противогоночно (с использованием кода Грея). Необходимое нам состояние, в котором формируется выходной сигнал (либо 3-ий импульс, либо 3-я пауза) является 7-м по счету состоянием автомата. Таким образом, для кодирования номеров состояний необходимо 3 запоминающих элемента — RS-триггера (или 3 мультиплексора с обратными связями). При этом не останется неиспользуемых состояний, поскольку необходим выход из состояния, в котором снимаются выходные сигналы и возврат автомата в исходное состояние.

В соответствии с графом переходов (8=23 устойчивых состояний) для синтеза устройства понадобится 3 мультиплексора из 8 в 1.

Составим таблицу программирования мультиплексоров по следующим правилам:

1. В первой строке таблицы указываются коды состояний автомата Q3 Q2 Q1, которые соответствуют кодам на селектирующих входах мультиплексоров. Для последующего программирования информационных входов мультиплексоров удобно указывать в соседних ячейках таблицы соседние коды состояний. Напомним, что соседние состояния кодируются противогоночно, т.е. с использованием кода Грея (табл. 3.1, 1-ая строка);

2. Во второй строке таблицы указываются значения сигналов на информационных входах всех 3-х мультиплексоров D0D7, которые формируются по следующим правилам:

а) в столбце соответствующем i-ому (i=0…7) состоянию автомата значения сигналов Dij (j =1…3, i=0…7) равны значениям Qj для тех разрядов, которые остаются неизменными при переходе в следующее (соседнее) состояние. Отметим, что в случае если из данного состояния возможно несколько переходов, Dij копирует те разряды Qj (Q3 Q2 Q1), которые остаются неизменными при всех возможных переходах из данного состояния.

б) остальным информационным сигналам Dij, подаваемым на i-ые входы   j-ых мультиплексоров, присваиваются значения переменных, вызывающих данный переход по следующим правилам. Если переход сопровождается изменением значения разряда кода состояния с 0 на 1, то переменная подается на соответствующий вход без инверсии, при изменении с 1 на 0 — переменная, подаваемая на вход, инвертируется.

Рисунок 3.10 — Граф переходов асинхронного автомата МИЛИ

В соответствии с вышеприведенными правилами таблица настройки мультиплексоров имеет вид:

Таблица 3.1 — Программирование мультиплексоров асинхронного автомата Мили

i (соседние состояния) 0 1 3 2 6 7 5 4
Q3 Q2 Q1 000 001 011 010 110 111 101 100
Di3 Di2 Di1 00X 0Y1 01X Y 10 11X 1Y1 10 X Z × S 00

Принципиальная схема синтезированного в соответствии с табл. 3.1 асинхронного автомата Мили и результаты его анализа в среде пакета программ
Micro-CAP приведены на рис. 3.11, а, б соответственно.

а

б

Рисунок 3.11 — Асинхронный автомат Мили (выделения 3-го импульса, 3-ей паузы):
а — схема; б — временные диаграммы

3.2.2 Пример 2. Асинхронный автомат Мура

Пример 2. Асинхронный автомат Мура, выделяющий первый полный импульс из последовательности UИ1, если приходит сигнал запуска US; и вторую полную паузу UП1, если приходит сигнал запуска UZ (см. рис. 3.5).

Автоматы Мура описываются функциями переходов и выходов:

,    ,

т.е. каждое новое состояние обусловлено предшествующим состоянием и входным сигналом, а выход в каждый момент однозначно определяется состоянием автомата. Таким образом, выходы однозначно определяются состояниями автомата и поэтому могут быть указаны в вершинах графа.

Т.к. необходимо выделить либо первый импульс, либо вторую паузу
полной длительности, то при запуске автомата привязку необходимо осуществлять к фронту (спаду) импульса периодической последовательности (т.е. из начального состояния возникнет переход в одно состояние по конъюнкции сигналов S × , и переход в другое состояние —по конъюнкции сигналов Z × G). Следовательно, по одной из этих двух ветвей будет осуществляться начальный переход в графе переходов автомата Мура.

Построим граф переходов автомата, используя противогоночное (в коде Грея) кодирование состояний (см. рис. 3.12).

При этом выходной сигнал (соответствующий 2-ой полной паузе — Pause2) является логическим произведением соответствующих разрядов кода состояния автомата , а выходной сигнал (соответствующий 1-му полному импульсу) является логическим произведением разрядов кода состояния автомата . Таким образом, выражение для выходной функции F записывается в следующем виде:

.

В соответствии с графом переходов (8=23 устойчивых состояний) для синтеза устройства понадобится 3 мультиплексора из 8 в 1.

Составим таблицу программирования мультиплексоров по следующим правилам:

В первой строке таблицы указываются коды состояний автомата Q3 Q2 Q1, которые соответствуют кодам на селектирующих входах мультиплексоров.

Во второй строке таблицы указываются значения сигналов на информационных входах всех 3-х мультиплексоров D0D7, которые формируются по следующим правилам:

а) в столбце соответствующем i-ому (i=0…7) состоянию автомата значения сигналов Dij (j=1…3) равны значениям Qj для тех разрядов, которые остаются неизменными при переходе в следующее (соседнее) состояние. Отметим, что в случае если из данного состояния возможно несколько переходов, Dij копирует те разряды Qj (Q3 Q2 Q1), которые остаются неизменными при всех возможных переходах из данного состояния.

б) остальным информационным сигналам Dij, подаваемым на i-ые входы
j-ых мультиплексоров, присваиваются значения переменных, вызывающих данный переход по следующим правилам. Если переход сопровождается изменением значения разряда кода состояния с 0 на 1, то переменная подается на соответствующий вход без инверсии, при изменении с 1 на 0 — переменная, подаваемая на вход, инвертируется.

В соответствии с вышеприведенными правилами таблица настройки мультиплексоров имеет вид:

Таблица 3.2 — Таблица программирования мультиплексоров асинхронного автомата Мура

i 0 1 3 2 6 7 5 4
Q3Q2Q1 000 001 011 010 110 111 101 100
Di3Di2Di1 ( ZG)0(S ) 0(G)1 01G 0(ZVS)0 10 11G 1 G1 1 0

а

б

Рисунок 3.13 — Асинхронный автомат Мура: а — схема; б — временные диаграммы

3.3 Синтез синхронных автоматов

3.3.1 Пример 3. Синтез счетчика с изменяемым коэффициентом
пересчёта

Пример 3. Синтезировать и протестировать цифровой синхронный автомат (программно-управляемый счетчик), обеспечивающий следующие последовательности счета:

A=1; B=0                                     0, 1, 2, 3

A=0; B=1                                     0, 1, 6, 7

В остальных случаях                  0, 1, 2, 3, 4, 5, 6, 7

В соответствии с совокупностью сигналов, управляющих последовательностью переходов синхронного автомата, сформируем новые сигналы, управляющие работой синхронного автомата.

.

Данные сигналы просто могут быть получены с помощью полного двоичного дешифратора 2Х4.

Обобщенная схема синхронного автомата приведена на рис. 3.14. В соответствии с этой структурой проведем синтез синхронного автомата примера.

Рисунок 3.14 — Обобщенная структурная схема синхронного автомата

Составим граф переходов синтезируемого синхронного автомата (рис. 3.15). Отметим, что поскольку переход из состояние в состояние осуществляется по синхросигналу, в графе переходов нет необходимости использовать противогоночное кодирование состояний, их достаточно закодировать позиционным двоичным кодом.

Двоичные переходы на структурной схеме осуществляет мультиплексор MX1, имеющий выход Y1. Сигнал на счетном входе (приращения) счетчика:

.

Мультиплексор MX2 — мультиплексор недвоичных переходов. Его выход Y2 подается на вход параллельной загрузки счетчика WE (LOADBAR, имеющий активный низкий уровень, на схеме примера см. рис. 3.16). В соответствии с этим таблица программирования мультиплексора MX2 рассматриваемого примера следующая:

; .

На остальных информационных входах MX2 — постоянный уровень логической «1».

Инверсия сигналов для входов недвоичных переходов и уровень лог. «1» для входов двоичных переходов используются, поскольку вход параллельной загрузки счетчика имеет активный низкий уровень.

Перейдем теперь к синтезу комбинационной схемы преобразователя кодов (КС1 на рис. 3.14), которая подает на входы параллельной загрузки счетчика код следующего состояния при осуществлении недвоичного перехода синхронного автомата.

Таблица недвоичных переходов синхронного автомата:

Q2 Q1 Q0( ti) Q2 Q1 Q0( ti+1)
011 000
001 110

Минимизируем логическую функцию для каждого входа параллельной загрузки Q0 (P0), Q1 (P1), Q3 (P2) с помощью карт Карно:

Q1 Q0 Q2 00 01 11 10

Q0    (P0)     

0 X 0 0 Х
1 X Х X X

 

Q1 Q0 Q2 00 01 11 10

Q1    (P1)      

0 X 1 0 Х
1 X Х X X
Q1 Q0 Q2 00 01 11 10

Q2    (P2)    

0 X 1 0 Х
1 X X X X

а

б

Рисунок 3.16 — Синхронный автомат: а — схема для моделирования; синхронного автомата; б — временные диаграммы

Принципиальная схема синхронного автомата для анализа с помощью программы Micro-CAP приведена на рис. 3.16, а,  а временные диаграммы работы — на рис. 3.16, б.

Отметим, что верхний мультиплексор (мультиплексор двоичных переходов) для данной задачи может отсутствовать, поскольку счетчик начинает счет в двоичном режиме независимо от значения управляющих сигналов. Т.е., для рассматриваемой задачи можно подавать импульсы тактового генератора GG непосредственно на счетный вход счетчика.

ЛИТЕРАТУРА

1. Джон Ф. Уэйкерли Проектирование цифровых устройств том l, 2 Пер. с англ. Е.В. Воронова, А.Л. Ларина, Постмаркет, Москва, 2002

2. Угрюмов Е.П. Цифровая схемотехника: Учеб. Пособие для вузов. — СПб.: БХВ-Петербург, 2004. – 528 с.: ил.

3. Уилкинсон Барри Основы проектирования цифровых схем. : Пер. с англ. — М.: Издательский дом «Вильямс», 2004. — 320 с.: ил.

4. Новиков Ю. В. Основы цифровой схемотехники. Базовые элементы и схемы. Методы проектирования. — М.: Мир, 2001. — 379 с, ил. — (Современная схемотехника)

5. В.Л. Шило Популярные цифровые микросхемы: Справочник. — М.: Радио и связь, 1987. — 352 с.: ил.

6. В.Л. Шило Популярные микросхемы КМОП. Справочник. — М.: Издательство «Ягуар», 1993. — 64 с.: ил.

7. М.А. Амелина, Ю.В Троицкий Синтез комбинационных и последовательностных логических устройств. Лабораторный практикум по курсу «Электронные промышленные устройства». – М.: МЭИ, 1999. – 48 с.

8. Амелина М.А., Амелин С.А. Программа схемотехнического моделирования Micro-Cap 8. — М.: Горячая линия-Телеком, 2007. – 464 с.: ил.

9. Проектирование схем управления на базе микросхем повышенной степени интеграции. Троицкий Ю.В. /Под ред. В.А. Циганкова. – М.: Моск. Энерг. ин-т, 1989. – 80 с.

10. Хоуп Г. Проектирование цифровых вычислительных устройств на интегральных схемах: Пер. с англ. — М.: Мир, 1984. — 400 с.

11. Опадчий Ю.Ф., Глудкин О.П., Гуров А.И. Аналоговая и цифровая электроника (полный курс): Учебник для вузов. Под ред. О.П. Глудкина. — М.: Горячая линия –Телеком, 2003. – 768 с.: ил.

12. Марченко А.Л. Основы электроники. Учебное пособие для вузов / Марченко. — М.: ДМК Пресс, 2008. — 296 с., ил.

13. Брей Б. Применение микроконтроллеров PIC18. Архитектура, программирование и построение интерфейсов с применением C и ассемблера. Пер. с англ. — К.: «МК-пресс», СПб: «КОРОНА-ВЕК», 2008. — 576 с., ил.


Дата добавления: 2022-01-22; просмотров: 27; Мы поможем в написании вашей работы!

Поделиться с друзьями:






Мы поможем в написании ваших работ!