Разработка системы электропитания
Для питания разрабатываемой системы необходимо обеспечить два постоянных напряжения: +5В – для питания ядра ПЛИС, устройств сопряжения и оптопар БМЭ, +3.3В – для питания портов ввода/вывод ПЛИС.
На ж/д транспорте есть стандартное постоянное напряжение 24В. Для получения нужных нам +5В и +3.3В используем стабилизаторы LM7805 и IL5233G соответственно.
Стабилизаторы расположим на плате вычислительного канала, питание будет поступать через разъем и на плате БМЭ, питание так же будет поступать через разъем.
Разработка общей платы
На общей плате расположены три разъема для вычислительных каналов и шесть для БМЭ, так же трехканальный тактовый генератор и внешние разъемы. Для подключения плат к объединительной плате используем разъемы на плату с защелкой KLS1-204-30-B, KLS1-204-12-B (розетки) и KLS1-201-30-B, KLS1-201-12-B (вилки). Схема электрическая принципиальная общей платы представлена в приложении В. Перемычки SA предназначены для настройки значности светофора. Таким образом количество ламп может меняться от 2 до 6.
4 Разработка алгоритмов и программного обеспечения
Работу разрабатываемой системы можно описать двумя алгоритмами, один из которых условно назовем фоновым и функционировать он будет постоянно, второй – основным, запуск которого будет происходить по приходу посылки от станционного модуля через блок ММС. На рисунках 4.1 – 4.4 представлены блок - диаграммы работы устройства.
|
|
Вычислительный канал обрабатывает пришедшую команду, которая состоит из 3 байт, где в первом байте 7-й бит всегда 1, 6-й и 5-й бит - тип устройства: 01 – светофор, остальные 5 бит кодируют номер устройства, которому передается команда, в моем случае это номер лампы светофора; во втором байте 7, 6, 5-ые биты всегда 000, 4-й и 3-й указывают команду на включение, выключение, сброс устройства и опрос состояния объекта, 2-й, 1-й и 0-й биты передают операнды команды; 3-ий байт - CRC. Из пришедшей команды будут выделены номер и состояние лампы светофора. Эта информация будет храниться в массиве Osn [5,1]:
type p_type is array (0 to 5) of std_logic_vector(1 downto 0);
signal OSN :p_type;
В массив Osn[5,1] управляющая команда может попасть только после всех проверок безопасности (тип устройства и контрольная сумма).
Номер устройства будет преобразован в номер строки массива (от 0 до 5), а команда, состоящая из двух бит (команда на изменение состояния лампы) будет храниться в соответствующем столбце. Состояния битов приведены ниже:
constant vkl : std_logic_vector(1 downto 0) := "11";
constant vikl : std_logic_vector(1 downto 0) := "00";
constant opros : std_logic_vector(1 downto 0) := "01";
constant sbros : std_logic_vector(1 downto 0) := "10"; --для основного
constant sos: std_logic_vector(1 downto 0) := "10"; --для фонового
|
|
Посылка « Ответ » - это информация о текущем состоянии объекта, состоящая из трех байт, в первом байте адрес устройства, во втором текущее состояние, третий проверочный. Основной алгоритм читает эти значения из массива Fon[5,1].
Взаимодействие фонового и основного алгоритмов заключается в следующем:
Фоновый алгоритм работает постоянно, заполняя массив Fon [5,1] с текущими состояниями ламп огней светофора исходя из опроса схемы контроля. Контролирует правильность работы канала путем сравнения контрольной информации. Это 24 бита, посылаемые на сравнение в другие вычислительные каналы по приходу такта от общего тактового генератора.
Контрольная информация формируется из значений фонового массива Fon [5,1] (6 строк по 2 бита) и Osn [5,1] (6 строк по 2 бита). Так как именно они является хранителем текущего состояния объекта и текущих «намерений» вычислительного канала.
process (flag_sravnenie,reset)
begin
if (flag_sravnenie='1') then
kontr_inf <= FON(0) & FON(1) & FON(2) & FON(3) & FON(4) & FON(5) & OSN(0) & OSN(1)& OSN(2) & OSN(3) & OSN(4) & OSN(5);
flag_sravnenie <='0';
flag_otpravki <='1';
flag_prin <= '1';
end if;
end process;
Контрольная информация посылается на два других ПЛИС. И соответственно принимается от двух других ПЛИС. Происходит ее сравнение. Таким образом, будут обнаружены несоответствия как в считывании текущих состояний объектов управления, так и в «намерениях» каналов выполнить различные действия по отношению к объекту управления. Если обнаруживается это несоответствие, то отказавший канал отключается.
|
|
Фоновый алгоритм читает значения из массива Osn[5,1], в котором хранится команда на изменение состояния объекта управления или опрос его текущего состояния. Основной алгоритм читает значения из массива Fon[5,1] для того, чтобы сформировать посылку «Ответ» о текущем состоянии объекта управления.
Таким образом, осуществляется правильное функционирование всей системы в целом.
Рисунок 4.1 – Блок диаграмма основного алгоритма.
Рисунок 4.2 – Блок диаграмма фонового алгоритма.
5 Доказательство безопасного поведения при возникновении отказов
В разрабатываемом модуле используется стандартная структура, трехканальная с сильными связями – это рекомендованная безопасная структура, в доказательстве не нуждается.
В данной структуре должна обеспечиваться независимость отказов в разных каналах. Для этого вычислительные каналы выполняются на разных платах, каждый канал имеет свой источник питания, общие шины данных по которым приходит информация от ММС.
|
|
Для защиты от одиночных отказов применяется стандартная последовательная схема сравнения (реализованная внутри ПЛИС) с парафазным фиксирующим элементом и импульсным декодером. Все схемы являются рекомендованными и в доказательстве не нуждаются.
Для контроля правильности работы трех каналов используется мажоритарная схема. Эта же схема контролирует исправности нити лампы светофора. Безопасность данной схемы доказана в пункте 3.3.
Вычислительные каналы обрабатывают команду пришедшую от станционного модуля, это три байта. Из них выделяется необходимая информация, проводятся все проверки безопасности, формируется контрольная информация для сравнения и посылка «Ответ» (подробно описано в пункте 4). Таким образом, в случае искажения каких-либо данных устройство сравнения зафиксирует это отклонение и переведет систему в защитное состояние.
Переход системы в необратимое состояние заключается в аппаратном отключении питания, при обнаружении несоответствия данных импульсный декодер перестает формировать заданное напряжение и реле 1-го класса надежности отпускает свои контакты. Вернуть систему в рабочее состояние можно только нажатием кнопки.
6 Расчет показателей безотказности и безопасности системы
Разработанная МПС имеет трехканальную структуру с сильными связми. Вероятность безотказной работы такой структуры определяется выражением:
[1]
где - вероятность безотказной работы одного вычислительного канала;
- интенсивность отказов элементов вычислительного канала;
- интенсивность отказов элементов БМЭ;
- интенсивность отказов элементов соединительной платы;
- вероятность безотказной работы одного мажорирующего устройства
- вероятность безотказной работы общей платы.
n – количество мажорирующих устройств;
t – время работы системы.
Таблица 6.1 – Таблица интенсивности отказов элементов вычислительного канала
Элемент | Интенсивность , | Количество | Итого, |
Резистор | 1 | ||
Конденсатор | 6 | ||
Интегральная микросхема | 22 | ||
Транзистор | 1 | ||
Трансформатор | 1 | ||
Диод | 6 | ||
Штепсель соединительный | 1 | ||
Итого: |
Таблица 6.2 – Таблица интенсивности отказов элементов мажорирующего устройства
Элемент | Интенсивность , | Количество | Итого, |
Резистор | 11 | ||
Конденсатор | 3 | ||
Интегральная микросхема | 11 | ||
Транзистор | 1 | ||
Трансформатор | 1 | ||
Диод | 7 | ||
Штепсель соединительный | 1 | ||
Итого: |
Таблица 6.3 – Таблица интенсивности отказов общей платы.
Элемент | Интенсивность , | Количество | Итого, |
Конденсатор | 2 | ||
Интегральная микросхема | 4 | ||
Штепсель соединительный | 23 | ||
Итого: |
Подставим полученные значение в выражение [1]:
=
В результате вероятность безотказной работы системы в течении года равна 0.5089.
Вероятность появления опасного отказа определяется выражением:
, [2]
где – период диагностирования элементов модуля;
– интенсивность отказов вычислительного канала;
t – время работы системы.
Так как необходимо сравнить 6 блоков данных (по количеству объектов управления), а каждый блок сравнивается за один такт, то время полного диагностирования системы равно
.
Опасный отказ может возникнуть только в случае идентичных отказах внутри троих ПЛИС, интенсивность отказов одной ПЛИС равна .
Подставим полученные значения в выражение [2]:
Полученное значение удовлетворяет всем нормам.
Заключение и выводы
В данном курсовом проекте разработана микропроцессорная система управления станционным светофором, управляемая ПЛИС.
Достоинством трехканальной структуры с сильными связями является высокая надежность. Однако, для построения такой системы необходимо гораздо больше электронных компонентов, чем для аналогичных по функциям систем с умеренными связями, а разработанная схема получается громоздкой и трудоемкой.
Разработанная система отвечает требованиям безопасности, предъявляемым к СЖАТ.
Достоинства разработанной МПС:
· Высокая скорость работы и передачи данных по линии связи;
· Высокая безопасность и надежность;
· Выполнение заданных функций;
· Универсальность алгоритма программы.
Недостатки:
· Невозможность обнаружения ошибок в программном обеспечении, которое реализует все ответственные алгоритмы;
· Возможность появления маскируемых отказов, если множество входных воздействий не обеспечивают необходимой глубины проверки каналов обработки информации. Накопление таких отказов может привести к опасному отказу системы.
СПИСОК ИСПОЛЬЗОВАННЫХ ИСТОЧНИКОВ
1. В.И. Сороко. Реле железнодорожной автоматики и телемеханики. М.: НПФ «Планета», 2002, 696с.
2. Свободная энциклопедия. Википедия [Электронный ресурс]. – 2000. - Режим доступа: https://ru.wikipedia.org/wiki/ Zheleznodorozhnyj_svetofor.html – Дата доступа: 01.12.2014.
3. Свободная энциклопедия. Википедия [Электронный ресурс]. – 2000. - Режим доступа: https://ru.wikipedia.org/wiki/Zheleznodorozhnyj_svetofor/Tehnicheskie harakteristiki. html – Дата доступа: 01.12.2014.
4. Различная информация по железной дороге и подвижному составу. [Электронный ресурс]. -2005. - Режим доступа: http://lokomo.ru/scb/svetofornye-lampy.html. – Дата доступа: 02.12.2014.
5. Микропроцессорные системы автоматики на железнодорожном транспорте: Учеб. Пособие для вузов ж.-д. трансп./ Бочков К.А., Коврига А. Н., Харлап С.Н; Под ред. Т.М. Маруняк. – М.: Транспорт, 2003. – 272 с.
6. Xilinx ® Spartan-6e family [Электронный ресурс]: Datasheet / Xilinx Corporation. – Электронные данные. – Режим доступа: www.xilinx.com/support/documentation/spartan-6e.htm. – Дата доступа: 05.12.2014.
Дата добавления: 2019-02-22; просмотров: 275; Мы поможем в написании вашей работы! |
Мы поможем в написании ваших работ!