Расчет периода синхросигналов
Период синхросигналов определяется продолжительностью элементарной операции, имеющей максимальную длительность. Это в свою очередь зависит от количества элементов, через которые проходит сигнал во время выполнения операции, а также временем задержки этих элементов.
Типовые значения времени задержки элементов, применяемых в разрабатываемом устройстве, приведены в таблице 2.
Таблица 2 - Значения времени задержки элементов
Наименование элемента | Время задержки,нс |
К555ИЕ19 | 60 |
К555ИР24 | 18 |
К555ИР23 | 26,5 |
К573РФ2 | 450 |
К555АП6 | 16 |
К555ИМ6 | 23 |
К555ЛИ1 | 24 |
К555ЛЛ1 | 22 |
Анализируя содержание таблицы 2, видим, что задержка элементов, на которых реализован управляющий автомат, сопоставима с временем задержки элементов операционного автомата. Следовательно, чтобы обеспечить нормальную работу всей схемы спецпроцессора, необходимо выбрать тактовую частоту таким образом, чтобы обеспечивалась корректная работа схемы как управляющего так и операционного автоматов.
Проанализируем формирование сигналов управляющего автомата с помощью временных диаграмм, представленных на рисунке 9.
Рисунок 9 - Формирование сигналов управляющего автомата
Предположим, что скважность тактовых импульсов равна 2. Тогда интервал времени t1 представляет собой время считывания данных из ППЗУ, которое равно 450нс.
|
|
Максимальное время задержки счетчика К555ИЕ19 равно 60 нс.
Исходя из вышесказанного, приходим к выводу, что для нормального функционирования управляющего автомата спецпроцессора период тактовых импульсов не должен быть меньше удвоенного времени считывания данных из ППЗУ, то есть 2·450=900 нс.
Проанализируем формирование сигналов операционного автомата с помощью временных диаграмм, представленных на рисунке 10. Эти временные диаграммы иллюстрируют выполнение одной из наиболее продолжительных микроопераций RSM:=(R1vR3)+RSM.
Рисунок 10 - Формирование сигналов операционного автомата
Интервал времени t1 определяется временем считывания информации с регистров R1,R3 и RSM а также временем задержки схемы OR1, сумматора SM,буферных элементов BF1, BF4 и временем записи информации на регистр RSM, что составляет
t1 = tRG + tOR + tSM+ 2·tBF+ tRSM , (1)
где:
t1= 26,5 + 22 + 23 + 2·16 + 18 = 121,5 нс
Интервал времени t2- t1 определяется временем записи информации на регистр RSM и составляет 18 нс.
Таким образом получаем, что при скважности ТИ равной 2 период ТИ будет равен
|
|
tТИ=2∙t1=243 нс
Так как время задержки управляющего автомата больше задержки операционного автомата, то период тактовых импульсов определяется
tТИ = 900 нс,
а частота
fти = 1/900·10-9 = 1,1 Мгц
Моделирование схемы устройства
Для проверки правильности функционирования устройства, была составлена модель на языке VHDL, позволяющая проследить поведение устройства на каждом шаге работы. Моделирование производилось в системе моделирования Quartus II 7.2
Программа на языке VHDL:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity algorithm is
Port ( y1 : in STD_LOGIC;
y2 : in STD_LOGIC;
y3 : in STD_LOGIC;
y4 : in STD_LOGIC;
y5 : in STD_LOGIC;
y6 : in STD_LOGIC;
y7 : in STD_LOGIC;
y8 : in STD_LOGIC;
y9 : in STD_LOGIC;
y10 : in STD_LOGIC;
y11 : in STD_LOGIC;
y12 : in STD_LOGIC;
y13 : in STD_LOGIC;
y14 : in STD_LOGIC;
y15 : in STD_LOGIC;
clk : in STD_LOGIC;
D : in STD_LOGIC_VECTOR (7 downto 0):= x"00";
E : out STD_LOGIC_VECTOR (7 downto 0));
end algorithm;
architecture Behavioral of algorithm is
signal r1, r2, r3, rsm : STD_LOGIC_VECTOR (7 downto 0) := x"00";
begin
process (clk) begin
if clk'event and clk = '1' then
if y1 = '1' then
rsm <= rsm + r2 + 1;
|
|
elsif y6 = '1' then
rsm <= (r1 or r3) + rsm;
elsif y8 = '1' then
rsm <= rsm + r1;
elsif y10 = '1' then
rsm <= r1 and r2;
elsif y11 = '1' then
rsm <= rsm(7) & rsm (7 downto 1);
elsif y13 = '1' then
rsm <= r2;
elsif y14 = '1' then
rsm <= r2 + r3;
end if;
if y2 = '1' then
r1 <= D;
elsif y5 = '1' then
r1 <= r1 or (r2 and r3);
elsif y7 = '1' then
r1 <= r2(7) & r2(7 downto 1);
end if;
if y3 = '1' then
r2 <= D;
elsif y12 = '1' then
r2 <= r2(6 downto 0) & r2(7);
end if;
if y4 = '1' then
r3 <= D;
elsif y9 = '1' then
r3 <= rsm;
end if;
if y15 = '1' then
E <= r3;
end if;
|
|
end if;
end process;
end Behavioral;
В результате моделирования были получены временные диаграммы работы, которые представлены на рисунке 11.
Рисунок 11- Временные диаграммы
При проверке временных диаграмм, было установлено, что требуемые операции выполняются корректно.
Заключение
В результате выполнения курсовой работы был произведен анализ требований к разрабатываемому устройству, разработана функциональная и принципиальная электрические схемы устройства с учетом требований на курсовое проектирование.
Осуществлен выбор элементной базы, произведен расчет частоты генератора тактовых импульсов с расчетом на самую длинную микрооперацию, построены временные диаграммы выполнения нескольких микроопераций.
Выполнено моделирование устройства в среде Quartus II, поясняющее ее работу, приведены результаты моделирования.
При разработке электрических схем и пояснительной записки использовалась программное обеспечение Microsoft Visio и Microsoft Word.
Работа выполнена в полном соответствии с техническими требованиями и удовлетворяет всем требованиям технического задания.
Список литературы
1. Зельдин Е. А. Цифровые интегральные схемы. М:-Энергоатомиздат,1986.
2. Шевкопляс Б. В. Микропроцессорные структуры. Инженерные решения. Справочник-М:-Радио и связь,1990.
3. Схемотехника ЭВМ: Учебник для студентов вузов С92 спец. ЭВМ/ Под ред. Г.Н. Соловьёва. – М.: Высшая школа, 1985. – 391 с.
4. Угрюмов Е.П. Проектирование элементов и узлов ЭВМ: Учебное пособие для спец. ЭВМ вузов. – М.: Высшая школа, 1987. – 318 с.
5. М.И. Богданович, И.Н. Грель, В.А. Прохоренко, В.В. Шалимо.– Мн.: Беларусь, 1991.– 493 с.: ил. Цифровые интегральные микросхемы: Справочник
Приложение А
Таблица А.1 - Перечень элементов
Поз. обозн. | Наименование | Кол | Примечание | |||
Конденсаторы | ||||||
С1 | К53-14-20В-33 мкФ±10% | 1 | ||||
С2…С32 | К10-17а-М750-0,1 мкФ±10% | 31 | ||||
Микросхемы | ||||||
DD1 | К555ТМ2 | 1 | ||||
DD2 | К555ЛА4 | 1 | ||||
DD3 | К555ЛН1 | 1 | ||||
DD4,DD5 | К555ИР23 | 2 | ||||
DD6 | К555АП6 | 1 | ||||
DD7 | К555ИЕ19 | 1 | ||||
DD8…DD10 | К573РФ2 | 3 | ||||
DD11 | К555АП6 | 1 | ||||
DD12,DD13 | К555ИР24 | 2 | ||||
DD14 | К555АП6 | 1 | ||||
DD15,DD16 | К555ЛИ1 | 2 | ||||
DD31 | К555ИР23 | 1 | ||||
Резисторы С2-33 ОЖО.467.173ТУ | ||||||
R1 | C2-33-0,125-1 кОм+5% | 1 | ||||
Разъемы | ||||||
Х1 | СНП346-40РП21-2В | 1 |
Дата добавления: 2021-12-10; просмотров: 25; Мы поможем в написании вашей работы! |
Мы поможем в написании ваших работ!